accumulate from elements of a set - drools - drools

I want to sum the weight of unique panelist having (at least) one active viewing. A viewing has a panelist, a panelist has a weight (int).
I obtain the set of Panelists having an active Viewing like this:
accumulate(
Viewing(active==true,
$p : panelist),
collectSet($p))
EDIT: Note that I am using a set as a way of getting the set of unique panelists.
I want to sum the weight of every panelist in this set.
My attempt (below) is returning
java.util.Collections$UnmodifiableSet cannot be cast to com...domain.Panelist
Basically, a set is not a panelist, duh.
How can I access elements of the set, ideally using idiomatic drools rather than a Java hack?
This is my attempt:
rule "targetLevelReach"
when
$actualReach : Number(intValue>10) from accumulate (
Panelist($weight : weight) from
accumulate(
Viewing(
active==true,
$p : panelist),
collectSet($p)),
sum($weight))
then
...
end

Building further on laune's idea:
rule "targetLevelReach"
when
$actualReach : Number(intValue>10) from
accumulate ( $p : Panelist
and exists Viewing( active==true, panelist == $p),
sum($p.getWeight() )
then
...
end

In the first rule, the inner accumulate collects a Set of objects that are accessible from a Viewing object using getPanelist. This (I suppose - because you couldn't be bothered to supply the info) returns a Panelist. But a Set of Panelist isn't a Panelist, which you have as a result of the accumulate:
Panelist(...) from accumulate ( Viewing(...$p:...), collectSet($p) )
Therefore, Set cannot be cast to ... Panelist.
The second version does have Set() as the result of the above accumulate.
As each Panelist has a weight, all you need to do is
rule "targetLevelReach"
when
$actualReach : Number(intValue>10) from
accumulate ( Viewing( active==true, $p : panelist),
sum($p.getWeight() )
then
...
end
Edit
Now that we know that we have to eliminate duplicates in the Set of Panelist, we know that we have to accumulate an intermediary Set:
rule "targetLevelReach"
when
$pset: Set() from accumulate ( Viewing( active==true, $p : panelist),
collectSet($p) )
$actualReach: Number(intValue>10) from
accumulate( Panelist( $weight : weight) from $pset,
sum( $weight ) )
then
...
end
rule "targetLevelReach"
when
accumulate ( Viewing( active==true, $p : panelist),
$pset: collectSet($p) )
accumulate( Panelist( $weight : weight) from $pset,
$actualReach: sum( $weight ),
$actualReach > 10 )
then
...
end

For some reason, it is working when I do not chain the accumulate but use an intermediate variable instead. Keen to have an explanation though.
This is a working way of accumulating from the set:
$viewers : Set() from accumulate(
Viewing(
active==true,
$p : panelist),
collectSet($p))
$actualReach : Number( ) from accumulate (
Panelist($weight : weight) from $viewers,
sum($weight))

Related

Drools variable binding: reassignment not allowed

Assume that MyObject is a Java Object with an integer property called integerProperty.
I would like to write a Drools rule like the following (syntactically incorrect):
rule "myRule"
when
MyObject( $integerProperty : integerProperty )
accumulate(
$o : MyObject(
integerProperty == $integerProperty + 1,
$integerProperty : this.integerProperty
);
$total : count($o);
$total > 10
)
then
[BLABLABLA]
end
I want to fire my rule when there is a set of more than 10 elements of type MyObject whose integerProperty values form an arithmetic sequence, e.g. 1, 2, 3, … In this case, I will assign a negative value to an HardMediumSoftLongScore object (hoping that information this helps).
I am sure that an MyObject having a given integerProperty is unique (eg. there is just one MyObject having integerProperty equal to, say, 2). The problem is that I'm not allowed to re-assign the variable binding $integerProperty. Is there a way around this?
Try implementing the below example and check whether you are getting your desired output. Before firing the rule insert the previous object as a global variable (prev in the example below) in the drool session.
global MyObject prev;
rule "myRule"
when
$total : Number(doubleValue > 10) from accumulate(
$o : MyObject( prev.getIntegerProperty() != null && $i : integerProperty == prev.getIntegerProperty() + 1),
init( double total = 0;),
action( total += 1; ),
reverse( total -= 1; ),
result( new Double( total ) ) )
then
[BLABLABLA]
end
In your java code before firing the rule set the previous object as a global object. Follow the code below :
kieSession.setGlobal("prev",myprevobject)
kieSession.insert(myobject)
kieSession.fireAllRules()

Drools - Accumulate logic

I need help in writing the accumulate logic for below requirement:
Requirement: Certain rules will provide the percentage to be applied for global value. Another set of rules should use the aggregate total percentage in determining the result.
For example: 0.75 is the global value passed as input(threshold).
Rule 1 might apply -10% of the fixed value ie. 0.75 - (0.75 * 0.10) = 0.675
Rule2 will apply + 20% off updated value. ie., 0.675 + (0.675 * 0.20) = 0.81
My global value is 0.75 (Threshold)
Using the below rules I am trying to applied the percentage applicable for a fixed value :
//class imports
global Double FIXED_THRESHOLD;
//Rules
rule "Prediction Rule_2"
lock-on-active true
no-loop true
salience (2)
when
LossInput (airBagDeployed == 'Y' , driveable == 'N')
result : RuleResult(predictedTotalsThreshold == 0)
then
insert(new ControlFact( -10.0 ) ); //Reduce -10% to global value 0.75 - 0.75* 0.10 = 0.675
System.err.println("New control fact added to working memory....");
end
rule "Prediction Rule_1"
lock-on-active true
no-loop true
salience (1)
when
LossInput (airBagDeployed == 'Y' , driveable == 'N', make == 'Honda' )
result : RuleResult(predictedTotalsThreshold == 0)
then
insert(new ControlFact( 20.0 ) ); // Add 20% to the updated aggregate (0.20 % of 0.675).
System.err.println("New control fact added to working memory....");
end
I tried the below accumulate logic but obviously it is wrong. It is applying only to fixed value always instead of the updated value.
rule "Aggregate All Threshold"
no-loop true
when
$aggregateTotalsThresholdPercentage : Number() from accumulate(
ControlFact( $totalsThreshold : totalsThresholdPercentage ),
sum( ( FIXED_THRESHOLD + ( FIXED_THRESHOLD * $totalsThreshold ) / 100 ) ) )
ruleResult: RuleResult(predictedTotalsThreshold == 0)
then
ruleResult.setPredictedTotalsThreshold($aggregateTotalsThresholdPercentage.doubleValue());
update(ruleResult);
end
POJO:
public class LossInput{
private String airBagDeployed;
private String driveable;
private String make;
}
public class ControlFact {
public double totalsThresholdPercentage;
}
public class RuleResult {
private double predictedTotalsThreshold;
}
//insert facts in working memory
kieSession.insert(lossInput);
kieSession.insert(ruleResult);
kieSession.setGlobal("FIXED_THRESHOLD", new Double(0.75));
kieSession.fireAllRules();
Please help on the accumulate logic to apply the updated value everytime when percentage threshold to be applied.
You cannot use accumulate/sum this way because you add the FIXED_THRESHOLD for each ControlFact.
Insert ControlFacts as you have in the "Prediction..." rules (without all the rule attributes). Use each ControlFact to update RuleResult's predictedTotalsThreshold. The "Aggregate" rule will fire repeatedly, and therefore you need to make sure to retract the used ControlFact.
rule "Aggregate All Threshold"
when
ControlFact( $ttp: totalsThresholdPercentage );
$res: RuleResult( $ptt: predictedTotalsThreshold)
then
double nt = $ptt + $ptt*$ttp/100;
modify( $res ){ setPredictedTotalsThreshold( $nt ) }
retract( $res );
end

how to write ( A after max time B ) in CEP( Fusion ) Drools

What is the best way to write a condition in drools CEP to infer ( A after max time B )
Example :
a : new A();
b : new B( this after [1m] )
The above example is not my need.
I need this :
a : new A();
b : new B( this after a , b.timestamp - a.timestamp <= 60000)
So i reformulate the question. Is another way to obtain the same result with less instructions ?
Thanks
Edit after clarification of Q
$a: A()
$b: B( this after[ 0s, 60s ] $a )
This fires if B comes after A but not later than 60 seconds.

Check whether the sum in a list is greater than 100

I have to write a drool function on a list which has to do the following thing
create a summation
check whether the summation is greater than 100.
below is the drool rule I have created
rule "001"
when
$charge : MainClass(subList.size() > 0)
$item : SubListClass(number < 0) from $charge.subOrderROList
$total : Number() from accumulate(SubListClass( $p : number ),sum( $p )
then
int index = $charge.SubListClass.indexOf($item)+1;
violations.error(kcontext, "ad", "ad.message", new String[]{String.valueOf(index),$item.getNumber().toString()},index);
end`
I am not able to check whether the $total is greater than 100
thanks
This would be correct if it were possible to obtain a sum > 100 by adding negative numbers. I have kept the constraints as they were in the Q, so change this as appropriate. Maybe number > 0?
rule "001"
when
$charge: MainClass(subList.size() > 0)
$total: Number( intValue > 100 )
from accumulate( SubListClass($p: number < 0)
from $charge.subOrderROList,
sum( $p ) )
then

Optaplanner newbie: nurse softconstraint for weekends

I'm studying Optaplanner, and am doing some experiments with the Nursing Roster.
My goal, for this experiment, is simple: to have nurse "1" be more in favor, and more likely, to work weekends.
I have written the following rules to help make this happen:
rule "nurseNamed1WorksWeekends"
when
$oneNurse: Employee( name = "1")
$wk : ShiftAssignment( isWeekend = true)
then
scoreHolder.addSoftConstraintMatch(kcontext, 1);
end
rule "nurseNamed1MustNotWorkWeekdays"
when
$oneNurse: Employee( name = "1")
not $wk : ShiftAssignment( isWeekend = false)
then
scoreHolder.addSoftConstraintMatch(kcontext, 1);
end
However, after running the sample for some time, nurse "1" still never ends up working weekends.
What am I doing wrong?
Thanks
Edit of rule according to laune's suggestions but optaplanner is still reluctant to put the nurse on weekend shifts:
rule "nurseNamed1WorksWeekends"
when
$oneNurse: Employee( name == "1", )
$wk : ShiftAssignment( isWeekend == true, employee == $oneNurse)
then
scoreHolder.addSoftConstraintMatch(kcontext, 1);
end
rule "nurseNamed1MustNotWorkWeekdays"
when
$oneNurse: Employee( name == "1")
not ShiftAssignment( isWeekend = false, employee == $oneNurse)
then
scoreHolder.addSoftConstraintMatch(kcontext, 1);
end
Don't use = in your constraints - test for equality is expressed using ==.
If the getter for a boolean is called isWeekend, the constraint should be written as
ShiftAssignment( weekend == true )
ShiftAssignment( weekend == false )
or, (for me) preferably
ShiftAssignment( weekend )
ShiftAssignment( ! weekend )
A binding variable in a Conditional Element such as $wk in
not $wk : ShiftAssignment( ! isWeekend )
doesn't make sense. The rule fires if there is no such ShiftAssignment - and then what would $wk being bound to?
The CE
not ShiftAssignment( ! weekend )
is strange: the rule fires if and only if there is no ShiftAssignment for any weekday around at all - not likely.
Adding a value higher than one in the "WorksWeekends" rule should favour nurse 1 on weekends.
Later
rule dislikeNurseOneOnWeekdays
when
$oneNurse: Employee( name == "1")
ShiftAssignment( isWeekend = false, employee == $oneNurse)
then
scoreHolder.addSoftConstraintMatch(kcontext, -1);
end
Using a smaller value (e.g. -10) will make it even harder for the First Nurse to work on weekdays: ten shifts during the weekend are needed to balance one during the week.