Trouble encountered during simulation - modelica

I want to simulate a DCDC converter for 300s with 10000 intervals, but during simualtion, I recieve the following error:
The code has encountered trouble from which it cannot recover.
can't continue. time = 159.270000
model terminate | Integrator failed. | Simulation terminated at time 159.27
### STATISTICS ###
DASKR-- TOUT (=R1) TOO CLOSE TO T (=R2) TO START INTEGRATION
In above, R1 = 1.5927000000000E+02 R2 = 1.5927000000000E+02
Can somebody please explain to me the meaning of the error as I don't understand it.
I am using Open Modelica version 1.18.1 64-bit version

Related

Vivado: [XSIM 43-3294] Signal EXCEPTION_ACCESS_VIOLATION received

I'm getting the following error when I run the simulation.
ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
This project worked fine, but after reinstalling the same Vivado version, I keep getting errors when running the simulation. I have searched and searched several times and places, there are many who end up with this error, but got different suggestions. I honestly do not know what this error means.
The simulation referred me to the behav folder to open the elaborate log for more information about the error.
Here it is:
Vivado Simulator 2017.1
Copyright 1986-1999, 2001-2016 Xilinx, Inc. All Rights Reserved.
Running: C:/Xilinx2017v1/Vivado/2017.1/bin/unwrapped/win64.o/xelab.exe -wto 89ab4d8a8bd74d6d87079040762eb149 --debug typical --relax --mt 2 -L xil_defaultlib -L lib_cdc_v1_0_2 -L proc_sys_reset_v5_0_11 -L fit_timer_v2_0_8 -L secureip -L xpm --snapshot design_1_wrapper_behav xil_defaultlib.design_1_wrapper -log elaborate.log
Using 2 slave threads.
Starting static elaboration
Completed static elaboration
Starting simulation data flow analysis
ERROR: [XSIM 43-3294] Signal EXCEPTION_ACCESS_VIOLATION received.
What could the error 'Signal EXCEPTION_ACCESS_VIOLATION received' mean?
Someone who have tips and advices?
Are you using VHDL 2008 and trying to access a lower level signal in you test bench?
something like
alias CTL_empty is <<signal Inst_VMUX_Top.Inst_Switch_IF.CTL_empty : std_logic>>;
When I try to make decisions based on CTL_empty, my simulation fails

How to disable Tensorflow js error log for my server host?

As if right now I am in a bit of a rush to get an answer to my problem. The model has been trained and the server works locally with the NN running in the background, but on the server we get the following error message:
2020-04-08 11:54:15.787274: I tensorflow/core/platform/profile_utils/cpu_utils.cc:94] CPU Frequency: 2799865000 Hz
2020-04-08 11:54:15.787801: I tensorflow/compiler/xla/service/service.cc:168] XLA service 0x4b5ca00 initialized for platform Host (this does not guarantee that XLA will be used). Devices:
2020-04-08 11:54:15.787830: I tensorflow/compiler/xla/service/service.cc:176] StreamExecutor device (0): Host, Default Version
terminate called after throwing an instance of 'std::system_error'
what(): Resource temporarily unavailable
It seems that the server host doesn't like the fact that Tensorflow is trying to log the following error/warning message:
2020-04-08 11:53:42.453164: I tensorflow/core/platform/cpu_feature_guard.cc:142] Your CPU supports instructions that this TensorFlow binary was not compiled to
use: AVX2
Is there a way in JS to disable these error logs so we can run the trained model on the server? Thanks in advance!

Error received while deploying code to Pixhawk4 from Simulink

I am trying to run an example Simulink model provided by Mathworks, but while deploying the model to the Pixhawk flight controller, I am receiving the following error:
Error(s) encountered while building "px4demo_uORBReadWrite":
### Failed to generate all binary outputs.
Caused by:
Validation error(s):
### Validating other build tools ...
Unable to locate build tool "GNU PX4 Archiver": echo
I have followed all the steps to configure the hardware properly, except the last part where I was not able to receive the accelerometer data too. The error received while testing the connection at that time was:
Error reading data from the serial port. Operation timed out before requested data was received.
Can someone explain why I am having this issue?
Thanks

Google Ortools - trouble with routing example

I am having an odd issue with Google Ortools vehicle routing example, found here:
https://developers.google.com/optimization/routing/tsp/vehicle_routing
Using Windows 10, and Python 3.6...
When executing the full program code provided in the link above, the program freezes up and exits. Command line provides the following:
WARNING: Logging before InitGoogleLogging() is written to STDERR
F0502 21:33:22.115679 7972 search.cc:2658] Check failed: step > 0 (0 vs. 0)
*** Check failure stack trace: ***
I have honed the code causing the freeze down to this line of code:
assignment = routing.SolveWithParameters(search_parameters)
I am certain I have the library properly installed because other examples of the program have run successfully. I attempted to use Visual Studio, and even went so far as to disable my second GPU.
I am wondering if anyone has encountered this issue and possibly knows how to fix. Thank You.
Issue was solved as follows:
Original model on google's website creates the following variable:
search_parameters = pywrapcp.DefaultRoutingSearchParameters()
I had changed to:
search_parameters = pywrapcp.RoutingModel.DefaultModelParameters()
But the required change should be:
search_parameters = pywrapcp.RoutingModel.DefaultSearchParameters()

OrientDB 2.1.9 crashes with OStorageException EOFException when running SQL script in console

I've been using my SQL database initialization script for a while, but it seems that recently the database crashes in the middle of the execution and I don't know why, but here's some details:
I am running OrientDB on Ubuntu 14 Trusty x64 (via Vagrant)
It always seems to crash while the script attempts to create a UNIQUE_HASH_INDEX, but doesn't always crash at the same UNIQUE_HASH_INDEX instruction
The script creates a lot of vertices and edges, but for example, it will crash here (see line with UNIQUE_HASH_INDEX):
CREATE CLASS Channel EXTENDS V;
CREATE PROPERTY Channel.version LONG;
CREATE PROPERTY Channel.channelId STRING;
CREATE INDEX Channel.uq_channelId ON Channel(channelId) UNIQUE_HASH_INDEX;
The database crashes entirely with the following error:
Creating index... Error:
com.orientechnologies.orient.core.exception.OStorageException: Error
on executing command: sql.create INDEX Channel.uq_channelId ON
Channel(channelId) UNIQUE_HASH_INDEX
Error: java.io.EOFException
Looking at the log files, the only hint I get are the last two lines:
2016-01-14 17:17:05:437 INFO Received signal: SIGTERM [OSignalHandler]
2016-01-14 17:17:05:454 INFO Received signal: SIGTERM [OSignalHandler]
How can I resolve this issue, or at least get better hints as to what is making the database crash?
I also test with OrientDB 2.1.6, as I was running the older version initially. Same problem.
Sorry, false alarm -- this is a Vagrant issue, not an OrientDB issue. Running the exact same script on a 32bit instance instead of 64bit solved my problem, and installing the same script on a real 64bit server also works.